Library

feed icon rss

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
  • 1
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 71 (1992), S. 1936-1942 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: The dry etching characteristics of strained Si1−xGex, x≤0.22, thin films (≤1 μm thick) and elemental Si and Ge in CF2Cl2 reactive ion etching plasmas have been studied by measuring etch rates using in situ ellipsometry, etch profiles using scanning electron microscopy (SEM), and surface-chemical aspects by employing x-ray photoelectron spectroscopy (XPS). The etch rates of the Si1−xGex alloys increase as a function of Ge content and fall between the etch rates of pure Si and Ge. The etch rate ratios of Si1−xGex over Si and Ge over Si1−xGex are ∼1.5 and ∼2.4 at a Ge content of 22%, respectively. SEM photographs of the trench profiles in Si1−xGex alloys with either SiO2 or photoresist masks show directional etching characteristics of the CF2Cl2 reactive ion etching process. The chemically shifted intensities of the Si 2p(1/2, 3/2) and Ge 2p(3/2) core levels indicate a 1- or 2-monolayer-thick reaction layer on the Si1−xGex alloy surface as a result of CF2Cl2 reactive ion etching. Residual Cl is identified as the principle surface impurity by XPS. The first few monolayers of the plasma-exposed SiGe surface is enriched in Si. From a comparison of Si1−xGex etch characteristics with those of Si and Ge etched under identical conditions, we conclude that the behavior of Si1−xGex alloys is very similar to elemental Si.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 2
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 57 (1990), S. 431-433 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Reactive ion etching of Si and SiO2 in SF6 plasmas in which the samples are mounted on a liquid-nitrogen-cooled electrode has been studied. At this temperature SF6 condenses on the electrode surface, but it is possible to maintain a plasma. Si etch anisotropy has been demonstrated at low temperature, in agreement with previous studies. Mass spectrometry and optical emission spectroscopy indicate that fluorine is the dominant species in the plasma because SF6 and SFx species are removed from the gas phase by condensation.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 3
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 58 (1991), S. 240-242 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Light emitted from a plasma during reactive ion etching and reflected by the wafer surface at a grazing angle is utilized to determine the remaining film thickness with an accuracy of ±30 A(ring). This promises a more flexible etching approach, e.g., tailoring the final stage of etching to minimize lattice damage.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 4
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 72 (1998), S. 1293-1295 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: When fabricating microscopic features in SiO2 layers using low pressure, high-density fluorocarbon plasmas, microtrenching has commonly been observed. Microtrenching has been explained either as due to ion scattering from sloped sidewalls or negative charging of the sidewalls by electrons, and the influence of the associated electric field on ion trajectories. In this work, we show that a weak magnetic field produces a significant asymmetry in microtrenching. Our results demonstrate unambiguously that electron-based sidewall charging is to a significant extent responsible for microtrenching, and, more generally, that differential charging is an important effect in microstructure fabrication using high-density plasmas. © 1998 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 5
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 52 (1988), S. 907-909 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: It is demonstrated with the use of in situ x-ray photoemission spectroscopy, secondary ion mass spectrometry, and transmission electron microscopy, that the commonly practiced in situ oxygen plasma/hydrofluoric acid dip treatment of reactive ion damaged silicon surfaces is insufficient in removing all reactive ion etching (RIE) related contaminants and damage. For CHF3/CO2 RIE the residual modifications are shown to be fluorine and carbon contamination and deeper lying modifications, e.g., hydrogen-induced extended Si defects. An enhanced silicon oxidation rate during air exposure has been observed for post-RIE-treated silicon, which correlates to the amount of residual fluorine.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 6
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 52 (1988), S. 1170-1172 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Silicon surfaces etched in CF4/O2 plasma have been characterized with the use of in situ x-ray photoemisson spectroscopy. A SiFxOy layer on elemental silicon is formed under all conditions. For oxygen percentages greater than 5% in the feed gas, the oxygen content of the film and the film thickness increase, whereas the fluorine content of the film decreases. The Si etch rate decreases also and appears to be controlled primarily by the thickness of the SiFxOy layer, rather than by the F atom concentration in the gas phase, which increases up to 15% O2 addition.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 7
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 62 (1987), S. 662-672 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Fluorocarbon film deposition onto Si and its influence on the measured Si etch rate in CF4/H2 reactive ion etching in a symmetric two electrode reactor has been studied as a function of CF4/H2 feed gas composition, total gas flow, and applied rf power. For reactive ion etching, the fluorocarbon film thickness on Si increases as the percentage x of H2 in CF4/x% H2 is increased. The fluorocarbon film thickness depends on the total gas flow and is greater for greater gas flows. The observed Si etch rate is controlled by the fluorocarbon film. The Si etch rate is directly proportional to the inverse of the F,C-film thickness for fluorocarbon films thicker than ∼10 A(ring), which may indicate a diffusion-limited mechanism. Both in-diffusion of fluorine and out-diffusion of SiF4 etch product through the fluorocarbon film are consistent with the decrease of the Si etch rate. The relative importance of the lowering of the atomic F concentration in the gas phase on the Si etch rate, e.g., by the H scavenging mechanism, has also been studied. This reaction can be important for conditions where either the inner walls of the etching apparatus can be maintained free of C,F film throughout the etching experiment, and/or a low hydrogen concentration (≤20%) in the CF4/H2 feed gas is used. For a fixed gas composition of CF4/40% H2, the rf-power dependence of the C,F-film thickness and of the Si etch rate was studied. In reactive ion etching, i.e., if rf power is supplied to the bottom (substrate) electrode, at first a monotonic rise in deposited fluorocarbon film thickness with increasing rf power is observed; at high rf-power levels a dramatic decrease in the C,F-layer thickness occurs, which is concomitant with a greater intensity of near surface lattice disorder (from ion channeling studies) and Si etching. Silicon etching is not observed for lower rf powers. In cases where rf power was supplied to the top electrode only, C,F-film deposition has been observed and no Si etching. These data are consistent with a recombinant model of etch anisotropy.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 8
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 64 (1988), S. 2399-2402 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: The properties of a patterned semiconductor structure have been utilized to enable spatially resolved analysis of the surface chemistry of a contact hole reactive ion etching process by x-ray photoemission spectroscopy. The topography of the semiconductor structure in combination with angle resolved analysis has been used to cause geometrical shadowing and to enable selective area analysis. Differences in the conduction characteristics of silicon and photoresist and concomitant electrostatic charging of the insulating photoresist layer made fluorocarbon films on photoresist and silicon nonequivalent and allowed to unambiguously assign their spatial origin.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 9
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 59 (1986), S. 3053-3062 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Near-surface modifications of Si induced by reactive ion etching (RIE), such as surface residues and a disordered Si near-surface region, have been studied by He ion channeling. The dependence of the surface residue layer thickness/composition and the Si surface disorder intensity on the etching gas (mixture) used, the plasma exposure time, and the applied rf power density were investigated. For the case of SiO2/Si selective etching (Si etch rate low), thicker surface residue layers were formed and the Si near-surface disorder was more intensive than for a nonselective RIE process. In selective SiO2/Si etching, both Si substrate disorder and surface residue layer thickness increase initially with plasma exposure time of the substrate. The intensity of Si substrate disorder was found to increase with greater self-bias voltage (caused by more rf power) applied during etching. A thermal annealing study showed that the observed Si disorder must be due to several distinct silicon defect states and is not equivalent to amorphized Si. The deposited C,F-layer thickness was found to depend on the ion bombardment possible during reactive ion etching; above a certain ion energy threshold C,F-layer deposition is suppressed. Various approaches to recover high-quality Si surfaces following RIE were evaluated. Due to the complexity of the near-surface modifications caused by plasma exposure of a Si specimen, simple thermal annealing is ineffective in restoring the surface properties. Promising recovery techniques for device-quality surfaces were found to be O2 RIE and O2 annealing post-RIE treatments. Possible difficulties of the channeling method in the characterization of dry-etched Si were demonstrated by the observation of ion-beam-induced surface impurity desorption and surface-roughness-related Si surface peak areal density changes.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 10
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 59 (1991), S. 336-338 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: We have studied reactive ion etching of Si1−xGex alloys with x≤0.15 and Ge in HBr plasmas. The etch rate of SiGe increases monotonically with the Ge content of the alloy and for a Si85Ge15 alloy was (approximately-equal-to)50% greater than for Si. Etch profiles are identical to those formed in singe-crystal Si. X-ray photoelectron spectroscopy studies shown that the surface of the etched SiGe alloys are depleted in Ge and consist of about one monolayer of brominated Si over the alloy.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...