Library

feed icon rss

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
Filter
  • 2000-2004  (3)
  • 1995-1999  (9)
Material
Years
Year
  • 1
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 80 (1996), S. 3705-3709 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Electron-cyclotron-resonance (ECR) and reactive ion etching (RIE) rates for GaN, AlN, InN, and InGaN were measured using the same reactor and plasma parameters in Cl2/Ar or CH4/H2/Ar plasmas. The etch rates of all four materials were found to be significantly faster for ECR relative to RIE conditions in both chemistries, indicating that a high ion density is an important factor in the etch. The ion density under ECR conditions is ∼3×1011 cm−3 as measured by microwave interferometry, compared to ∼2×109 cm−3 for RIE conditions, and optical emission intensities are at least an order of magnitude higher in the ECR discharges. It appears that the nitride etch rates are largely determined by the initial bond breaking that must precede etch product formation, since the etch products are as volatile as those of conventional III–V materials such as GaAs, but the etch rates are typically a factor of about 5 lower for the nitrides. Cl2/Ar plasmas were found to etch GaN, InN, and InGaN faster than CH4/H2/Ar under ECR conditions, while AlN was etched slightly faster in CH4/H2/Ar plasmas. The surface morphology of InN was found to be the most sensitive to changes in plasma parameters and was a strong function of both rf power and etch chemistry for ECR etching. © 1996 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 2
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 74 (1999), S. 2702-2704 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: A GaN/AlGaN heterojunction bipolar transistor structure with Mg doping in the base and Si doping in the emitter and collector regions was grown by metalorganic chemical vapor deposition on c-axis Al2O3. Secondary ion mass spectrometry measurements showed no increase in the O concentration (2–3×1018 cm−3) in the AlGaN emitter and fairly low levels of C (∼4–5×1017 cm−3) throughout the structure. Due to the nonohmic behavior of the base contact at room temperature, the current gain of large area (∼90 μm diameter) devices was 〈3. Increasing the device operating temperature led to higher ionization fractions of the Mg acceptors in the base, and current gains of ∼10 were obtained at 300 °C. © 1999 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 3
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 69 (1996), S. 1426-1428 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Electron cyclotron resonance plasma etch rates for GaN, InN, InAlN, AlN, and InGaN were measured for a new plasma chemistry, ICl/Ar. The effects of gas chemistry, microwave and rf power on the etch rates for these materials were examined. InN proved to be the most sensitive to the plasma composition and ion density. The GaN, InN, and InGaN etch rates reached ∼13 000, 11 500, and ∼7000 A(ring)/min, respectively, at 250 W rf (−275 V dc) and 1000 W microwave power. The etched surface of GaN was found to be smooth, with no significant preferential loss of N from the surface at low rf powers, and no significant residue on the surface after etching. © 1996 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 4
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 67 (1995), S. 1119-1121 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Single-crystal AlN grown on Al2O3 is found to be wet etched by AZ400K photoresist developer solution, in which the active component is KOH. The etching is thermally activated with an activation energy of 15.5±0.4 kcal mol−1, and the etch rate is found to be strongly dependent on the crystalline quality of the AlN. There was no dependence of etch rate on solution agitation or any crystallographic dependence noted, and the etching is selective over other binary group III nitrides (GaN, InN) and substrate materials such as Al2O3 and GaAs. © 1995 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 5
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 67 (1995), S. 2329-2331 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Changes in conductivity of InN, In0.5Ga0.5N, and In0.5Al0.5N layers exposed to Ar plasmas under both electron cyclotron resonance and reactive ion etching conditions have been measured as a function of rf power, pressure, and exposure time. The combination of high microwave and high rf powers produces large increases (10–104 times) in sheet resistance of the nitrides, but conditions more typical of real etching processes (rf power 〈150 W) do not change the electrical properties. The nitrides are more resistant to damage introduction than other III–V semiconductors. The removal of damage-related traps occurs with an activation energy of ∼2.7 eV. © 1995 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 6
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 66 (1995), S. 1761-1763 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl2/H2/CH4/Ar and Cl2/H2/Ar plasmas. Using Cl2/H2/CH4/Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 °C and then increase to a maximum of 2340 A(ring)/min at 170 °C. The InN etch rate decreases monotonically from 30 to 150 °C and then rapidly increases to a maximum of 2300 A(ring)/min at 170 °C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A(ring)/min at 30 °C. When CH4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III–V nitrides remains unchanged after exposure to the Cl2/H2/CH4/Ar plasma over the temperatures studied. © 1995 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 7
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 69 (1996), S. 1119-1121 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Inductively coupled plasma (ICP) etch rates for GaN are reported as a function of plasma pressure, plasma chemistry, rf power, and ICP power. Using a Cl2/H2/Ar plasma chemistry, GaN etch rates as high as 6875 A(ring)/min are reported. The GaN surface morphology remains smooth over a wide range of plasma conditions as quantified using atomic force microscopy. Several etch conditions yield highly anisotropic profiles with smooth sidewalls. These results have direct application to the fabrication of group-III nitride etched laser facets. © 1996 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 8
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 68 (1996), S. 2273-2275 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Selective area ion implantation doping has been used to fabricate GaN junction field effect transistors (JFETs). p-type and n-type doping was achieved with Ca and Si implantation, respectively, followed by a 1150 °C rapid thermal anneal. A refractory W gate contact was employed that allows the p-gate region to be self-aligned to the gate contact. A gate turn-on voltage of 1.84 V at 1 mA/mm of gate current was achieved. For a ∼1.7 μm×50 μm JFET with a −6 V threshold voltage, a maximum transconductance of 7 mS/mm at VGS=− 2V and saturation current of 33 mA/mm at VGS=0 V were measured. These results were limited by excess access resistance and can be expected to be improved with optimized n+ implants in the source and drain regions. © 1996 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 9
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 73 (1998), S. 1688-1690 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: We report on the growth and characterization of ultraviolet GaN quantum well light emitting diodes. The room-temperature electroluminescence emission was peaked at 353.6 nm with a narrow linewidth of 5.8 nm. In the simple planar devices, without any efforts to improve light extraction efficiency, an output power of 13 μW at 20 mA was measured, limited in the present design by absorption in the GaN cap layer and buffer layer. Pulsed electroluminescence data demonstrate that the output power does not saturate up to current densities approaching 9 kA/cm2. © 1998 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 10
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: We present an experimental study of ion fluxes, energy distributions, and angular distributions inside surface features on radio frequency-biased wafers in high-density, inductively driven discharges in argon. Specifically, we present data on ion distributions at the bottom of 100-μm-square, 400-μm-deep "holes" in the wafer. Transmission of ions to the bottom of the holes increases with increasing ion energy and decreases as the sheath size becomes comparable to the hole size. Ion energy distributions at the bottom of the holes are narrower than distributions on the flat wafer surface. The flux of ions remains normal to the wafer surface over most of the hole area but the flux of ions within 6 μm of the wall is angled towards the wall. The observed trends are consistent with effects expected due to bowing of the plasma sheath around the surface features on the wafer. Scattering of ions off sidewalls contributes at most, only a small part of the ion flux reaching the bottom of the hole. © 2002 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...