Library

feed icon rss

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
  • 1
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 73 (1993), S. 4825-4830 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: The distribution of Fe implanted at medium (1–4×1014 cm−2) and low (2×1012 cm−2) doses into InGaAs and annealed with or without a cap is investigated and the degree of compensation of such implanted regions is assessed. Secondary ion mass spectrometry profiles of low dose implanted Fe reveal a substantial role of the capping layer. Fe concentrations below as well as above the estimated metal vacancy concentration produced by implantation are observed. The effect of the cap strongly depends on the wet chemical surface preparation before insulator deposition. A correlation of the magnitude of the Fe accumulation at the InGaAs surface with defect related photoluminescence intensity is established. On the basis of the substitutional-interstitial diffusion model the barrier effects of the various caps for host and dopant atoms are analyzed. The best semi-insulating properties were obtained for plasma enhanced chemical vapor deposition SiO2 caped samples using a H2SO4:H2O2:H2O=1:1:125 surface preparation before deposition resulting in a 53% incorporation of Fe. A high electrical activation is proved directly by capacity-voltage profiles.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 2
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 76 (1994), S. 880-886 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Results on the diffusion behavior of InGaAs host atoms as well as Zn and Cd atoms in plasma-enhanced chemical-vapor-deposited SiO2 layers are reported. The group-III elements In and Ga diffuse very fast in SiO2 at annealing temperatures from 400 to 600 °C. A mobilization of these species by OH groups is proposed. An As diffusion can only be observed in the case of semiconductor samples etched in a H2SO4 solution before SiO2 deposition. An As-rich interface layer produced by this etchant is assumed to act as exhausting source for mobile As-O complexes. The InGaAs host atoms could be detected up to a concentration level of about 1018 cm−3 by secondary-ion-mass spectroscopy in the SiO2 films after annealing. Their effective diffusion coefficients were estimated to be in the order of 10−15–10−13 cm2/s in the investigated temperature range. Activation energies of about 0.6 eV (In), 0.3 eV (Ga), and 0.8 eV (As) could be determined. The In/Ga out-diffusion was accompanied by the occurrence of two new peaks in the photoluminescence spectra of the InGaAs layers. Binding energies of about 11 and 18 meV were obtained, respectively. Possible crystal defects as well as results of numerical simulations on base of simple diffusion models are discussed. The capability of plasma-enhanced chemical-vapor-deposited SiO2 layers as a diffusion mask during Zn as well as Cd acceptor diffusion at 500 and 600 °C was proved.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 3
    Electronic Resource
    Electronic Resource
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 77 (1995), S. 945-947 
    ISSN: 1089-7550
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Results on the passivation and antireflection coating of InGaAs:Fe metal-semiconductor-metal photodetectors using remote plasma-enhanced chemical vapor deposited SiO2 layers are reported. The deposition of SiO2 on the detector surface leads to a reduction of the dark current by nearly two orders of magnitude at 5 V bias. Temperature-dependent measurements of the leakage current characteristics indicate that the Schottky barrier height is substantially lowered near the metallization edges of the reversed biased contact fingers. The effective barrier height in the edge region, which controls the magnitude of the leakage current is determined by activation energy plots to be 0.14 eV for nonpassivated and 0.20 eV for passivated structures, respectively. Apart from the improvement of the dark current characteristics, the SiO2 coating results in a drastic reduction of the photocurrent gain. The long-term stability of the passivation is proved. © 1995 American Institute of Physics.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 4
    Electronic Resource
    Electronic Resource
    Woodbury, NY : American Institute of Physics (AIP)
    Applied Physics Letters 56 (1990), S. 931-933 
    ISSN: 1077-3118
    Source: AIP Digital Archive
    Topics: Physics
    Notes: Results on Zn and Cd diffusion across InGaAs/InP and InP/InGaAs heterointerfaces are reported. Drastic changes in the group III sublattice were obtained near the interface when Zn diffused from an InGaAs top layer across the heterojunction. Diffusion from an InP top layer, as well as Cd diffusion, or simple annealing of the samples had no measurable influence on the stability of the interfaces. The strong interdiffusion of In and Ga host atoms as well as the Zn gettering at the interface is discussed in terms of two diffusion mechanisms, namely, the "kick-out'' mechanism and the vacancy mechanism. The activation energy for the Zn-stimulated Ga interdiffusion across the InGaAs/InP heterojunction was estimated to be EA =3.8±0.3 eV.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 5
    ISSN: 0040-6090
    Source: Elsevier Journal Backfiles on ScienceDirect 1907 - 2002
    Topics: Physics
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 6
    Electronic Resource
    Electronic Resource
    Springer
    Fresenius' Zeitschrift für analytische Chemie 346 (1993), S. 23-28 
    ISSN: 1618-2650
    Source: Springer Online Journal Archives 1860-2000
    Topics: Chemistry and Pharmacology
    Notes: Summary The surface of (In, Ga)As has been investigated by photoelectron spectroscopy (XPS) and ellipsometry after different etching steps with a solution containing sulphuric acid to form an As rich surface layer. It was found that after rinsing the samples in a chloroform/acetone/methanol solution the native oxide consists mainly of As-oxide and In-hydroxide. The native oxide is almost completely removed by a short 1 s dip in buffered HF. The As rich surface formed after sulphuric acid etching oxidises quickly during exposure to air as can be seen from the XPS spectra. The etching causes a surface roughness of several nm. Taking into account surface roughness and oxidation the calculated ellipsometric angles fit well the data measured immediately after etching and after storage in air and Ar.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 7
    Electronic Resource
    Electronic Resource
    Springer
    Fresenius' Zeitschrift für analytische Chemie 353 (1995), S. 647-654 
    ISSN: 1618-2650
    Source: Springer Online Journal Archives 1860-2000
    Topics: Chemistry and Pharmacology
    Notes: Abstract The As rich SiO2/In0.53Ga0.47As interface which is produced by wet chemical etching before SiO2 deposition to improve the electronic properties of the interface has been studied. SiO2-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85°) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO2/In0.53Ga0.47As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO2 deposition are well reflected in the photoelectron spectra.
    Type of Medium: Electronic Resource
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 8
    Publication Date: 2020-11-17
    Language: English
    Type: bookpart , doc-type:bookPart
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 9
    Publication Date: 2020-11-17
    Language: English
    Type: conferenceobject , doc-type:conferenceObject
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. More information can be found here...