Bibliothek

Ihre E-Mail wurde erfolgreich gesendet. Bitte prüfen Sie Ihren Maileingang.

Leider ist ein Fehler beim E-Mail-Versand aufgetreten. Bitte versuchen Sie es erneut.

Vorgang fortführen?

Exportieren
Filter
  • Artikel: DFG Deutsche Nationallizenzen  (172.431)
  • 2025-2025  (2)
  • 2000-2004  (123.237)
  • 1980-1984
  • 1960-1964
  • 1955-1959
  • 1945-1949  (19.663)
  • 1925-1929
  • 1920-1924  (29.460)
  • 1900-1904
  • 1880-1889
  • 1860-1869
  • 1830-1839
  • 1810-1819  (71)
  • 2000  (123.237)
  • 1947  (19.663)
  • 1922  (15.948)
  • 1920  (13.512)
  • 1814  (71)
Datenquelle
Materialart
Erscheinungszeitraum
Jahr
  • 1
    Digitale Medien
    Digitale Medien
    Amsterdam : Elsevier
    Physics Letters B 294 (1992), S. 466-478 
    ISSN: 0370-2693
    Quelle: Elsevier Journal Backfiles on ScienceDirect 1907 - 2002
    Thema: Physik
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 2
    Digitale Medien
    Digitale Medien
    Amsterdam : Elsevier
    Physics Letters B 317 (1993), S. 474-484 
    ISSN: 0370-2693
    Quelle: Elsevier Journal Backfiles on ScienceDirect 1907 - 2002
    Thema: Physik
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 3
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5028-5032 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Car–Parrinello molecular dynamics is applied to the liquid quench simulation of the amorphous networks of BN, AlN and AlBN2. Structural and elastic properties were determined. It is found that AlN has a stronger tendency to chemical order than BN, driven by the greater energy penalty for "wrong bonds." AlN, however, has a stronger tendency to form an amorphous structure as judged by the energy difference between the crystalline and amorphous states. There is some experimental evidence for an amorphous form of AlN. BN was simulated at two densities, 2.0 and 3.0 g/cm3. Even at the higher density, the fraction of tetrahedral coordination remained low, in contrast to AlN, enabling us to predict that the tetrahedral amorphous form of BN does not form under liquid quench conditions. The amorphous network with the formula AlBN2 has an intermediate tendency to form a tetrahedral structure and has a relatively high elastic modulus. This material is predicted to be of value for application as a wear resistant coating. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 4
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5056-5061 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We investigate, using an analytical and a numerical model, the in-plane stiffness of fiber mats. A mat is modeled by randomly depositing thin linear-elastic fibers on top of each other under the influence of an external pressure. The external pressure has the effect of bending the fibers over each other. The fibers are assumed rigidly bonded at contacts. For a low external pressure the stiffness of the mat deviates from that of its two-dimensional projection only by a geometrical factor, and the effective Poisson contraction is close to zero. For higher pressures, stiffness is governed by two competing effects and a maximum appears in the stiffness. The effective Poisson ratio is clearly negative in this range. An approximative analytical description is developed for the stiffness of mats formed under low external pressure. The stiffness is given as a function of only a few parameters: the degree of bonding, the dimensions of the fibers, the elastic constants of the fiber material, and the density of fibers. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 5
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5087-5092 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The field-emission properties of a Si–O bond-containing diamond-like nanocomposite (DLN) film were investigated as a function of annealing temperature (Ta). It was found that with increasing Ta the emission threshold voltage decreased gradually. After annealing at Ta=500 °C, the emission current decreased significantly. At Ta=700 °C, however, the field-emission properties of the DLN film improved greatly, the threshold field became very low (∼1.5 V/μm), and the emission current rather high (e.g., ∼2.3 μA/mm2 at an electric field of 22 V/μm). The structural variation of the film after annealing at different temperatures was monitored by ultraviolet Raman spectroscopy, spectroscopic ellipsometry, atomic-force microscopy, and electrical resistivity measurements. By using a three-step model: (i) electron injection from the substrate, (ii) electron transport through the film, and (iii) electron emission at the film surface, the annealing effect on field-emission properties of the DLN film were qualitatively interpreted. It is believed that the threshold electric field is determined by the local electron affinity on the film surface, while the emission current is mainly limited by electron injection and transport processes. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 6
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5004-5016 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The experiments described here examine 25–100 eV CF3+ and C3F5+ ion modification of a polystyrene (PS) surface, as analyzed by x-ray photoelectron spectroscopy. The molecular dynamics computer simulations probe the structurally and chemically similar reactions of 20–100 eV CH3+ and C3H5+ with PS. CF3+ and C3F5+ each form a distribution of different fluorocarbon (FC) functional groups on PS in amounts dependent upon the incident ion energy, structure, and fluence. Both ions deposit mostly intact upon the surface at 25 eV, although they also undergo some crosslinking upon deposition. Fragmentation of the two ions increases as the ion energies are increased to 50 eV. Both ions show increases in total fluorine and fluorinated carbon content when changing the ion energy from 25 to 50 eV. The simulations predict that CH3+ and C3H5+ behave in a similar fashion to their FC analogs, remaining mostly intact and either embedding or scattering from the surface without reacting at 20 eV. At 50 and 100 eV, the simulations predict fragmentation most or all of the time. The simulations also show that the chemical products of the collisions depend significantly on the structure of the incident isomer. The simulations further illustrate how the maximum penetration depth of ion fragments depends on ionic structure, incident energy, and the identity of the penetrating fragment. These ion–surface results are discussed in terms of their possible role in plasmas. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 7
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5062-5070 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Experimental data for oxygen precipitation densities in Czochralski-grown silicon following multistep annealing treatments are compared with predictions from a coupled-flux model for time-dependent nucleation. This is a more correct model for diffusion-controlled nucleation processes than is the classical theory of nucleation since it directly couples the two stochastic fluxes of interfacial attachment and long-range diffusion. Quantitative agreement is obtained between the measured and calculated densities for nucleation temperatures greater than 650 °C. Good agreement is obtained for lower temperatures if the oxygen diffusion rate is taken to be larger than is predicted from high-temperature diffusion data. The fit values for the diffusion coefficient from the nucleation data are in good agreement with recent results from dislocation-unlocking experiments. The oxygen loss calculated by coupled-flux nucleation and diffusion-limited growth agrees with the experimental observations. Classical theory nucleation calculations predict a much greater oxygen loss, signaling the failure of the theory to correctly treat nucleation when long-range diffusion is important, true in most solid-state precipitation processes. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 8
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5093-5099 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The microstructure was measured for AlCu lines, formed using either a traditional planar metal subtractive etch process or a newly developed hot AlCu-trench-damascene process. It was found that 0.35 μm wide damascene AlCu lines formed a large grained bamboo microstructure with little or no Al (111) texture. The local crystallographic texture was measured in a scanning electron microscope using electron backscatter pattern analysis often referred to as backscatter Kikuchi diffraction. Damascene structures consisted of AlCu films deposited at greater than 400 °C onto Ti or Ti/TiN into preformed amorphous SiO2 trenches, 0.3–5.0 μm wide by 0.4 μm deep, followed by aluminum chemical mechanical polishing to remove the metal overburden. Standard planar metal control samples consisted of blanket Al or AlCu films deposited onto either an amorphous SiO2 substrate or onto SiO2/Ti/TiN substrates, followed by subtractive etching to define 0.45–10 μm wide lines as well as large (e.g., 10×10 μm2) pads. The planar metal samples exhibited either little change or a slight strengthening of their (111) fiber texture with decreasing line width; this was in sharp contrast to the damascene films in which a marked weakening in the (111) fiber texture with decreasing line width was found. In addition a trimodal (111) texture distribution developed in trenches where TiAl3 intermetallic formed. The role of intermetallic formation (TiAl3), elevated (〉400 °C) AlCu deposition temperature, large bamboo grain size, local AlCu crystallographic texture and differences in sidewall coverage between subtractive etched and trench-damascene processed AlCu on film microstructure are examined. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 9
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5211-5220 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We discuss in detail the considerations necessary to determine the absolute stable anion formation (SAF) cross section for a molecule embedded within the bulk of condensed matter, using the low energy electron transmission (LEET) method. We show that the LEET method is applicable at any energy below the ionization potential of the charge trapping molecule and the first exciton energy of the matrix. As an example, charge trapping due to SAF from CF4 molecules is investigated. In order to generalize the LEET method, we solve in the Appendix a classical electrostatic boundary value problem using the method of images for a charge embedded in a dielectric medium surrounded by two other media of different dielectrics. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 10
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5238-5245 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The thickness dependence of stress-induced leakage currents (SILCs) has been investigated for silicon-dioxide films with thicknesses between 5.1 and 9.6 nm. Assuming a two-step trap-assisted tunneling process accompanied by an energy relaxation process of trapped electrons, a set of analytical equations is given, which describes quantitatively the SILC dependence on oxide electric field with trap site location, trapped sheet charge density, and trap state energy as characteristic trap site parameters. Applying this model to the SILC data of 5.1–9.6-nm-thick silicon-dioxide films, the best agreement between experimental and calculated I–V data is achieved by a constant trap state energy of 1.93 eV relative to the silicon-dioxide conduction-band edge. Trap sites are located at 4.24 nm from the gate interface for 6.8–9.6-nm-thick films, while the 5.1 nm film exhibits a slightly different trap site location of 4.08 nm. The trapped sheet charge density Qtrap increases linearly with oxide thickness from −0.34×10−6 to −1.29×10−6 C/cm2. As a result, the thickness dependence of Qtrap suppresses the local tunneling current between the gate injection interface and trap sites by a reduction of the local oxide electric field. This fact explains the decrease of SILC with an increase in oxide thickness. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 11
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5255-5261 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We have recently shown [P. N. K. Deenapanray et al., Appl. Phys. Lett. 77, 626 (2000)] that four electron traps S1(Ec−0.23 eV), S2(Ec−0.46 eV), S3(Ec−0.72 eV), and S4(Ec−0.74 eV) are introduced in rapid thermally-annealed (RTA) SiO2-capped n-type GaAs epitaxial layers. In the present study, we have used deep level transient spectroscopy to investigate the electronic and annealing properties of these deep levels. The electron emission kinetics of S1 is enhanced by an electric field, and the activation energy of S1 decreases linearly from ∼233 to ∼199 meV when the field is increased from 7.5×104 to 13.4×104 V cm−1. The intensities of S1, S2, and S4 show Arrhenius-like dependencies on the RTA temperature, which relate to the outdiffusion of Ga atoms into the SiO2 layer. The intensity of S2(VGa–SiGa) also increases exponentially with the square of the annealing time for RTA at 800 °C. Isochronal annealing experiments show that S1 and S2 are thermally stable below 500 and 400 °C, respectively. S4, which is a member of the EL2 family, is stable up to 600 °C. Secondary defects are introduced during isochronal annealing above 400 °C, and some of these defects are thermally stable at 600 °C. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 12
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5280-5282 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We explore theoretically the fundamental principles of design and operation of a three-terminal molecular wire transistor that consists of a single π-conjugated carbon chain molecule with thiol end groups (CnHn−2S4), self-assembled on the cleaved edge of a multilayer of alternating thin gold and insulating films. The ends of the chain bond to two outer gold layers that act as source and drain, and the chain bridges a third (inner) gold layer that acts as a gate. We show that transistor action should occur in this device if sulfur atoms are adsorbed on the surface of the gold gate. The sulfur atoms acquire charge as the gate voltage is increased, thereby enhancing the interaction between the gate and molecule and creating a strong potential barrier that hinders electron flow along the molecular wire. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 13
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5415-5420 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Depolarization of evanescent waves scattered by laser-trapped gold particles of 0.1, 0.5 and 2 μm in diameter is experimentally characterized in order to reveal its dependence on the size of particles. It is found that the degree of polarization of scattered evanescent waves decreases with the size of gold particles, which is contrary to that previously observed for dielectric particles. This feature becomes advantageous in particle-trapped near-field microscopy since less depolarized photons carry more information of a sample. With the help of polarization gating, this property is demonstrated in images of the evanescent wave interference pattern as well as the surface of a glass prism. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 14
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5444-5447 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Spectral analysis of photons emitted during scratching of insulator surfaces of Si3N4, Al2O3, ZrO2 and soda-lime glass with a diamond was performed under relatively slight frictional conditions, i.e., with a normal force of less than 1 N and a sliding velocity of less than 16 cm/s in ambient air. All the spectra showed similar profiles with strong sharp peaks in the ultraviolet region and photon energies of 2.8–4 eV. The wavelengths of the photons were perfectly matched to those for the second positive band of N2, demonstrating that an electric discharge of N2 gas occurred at the frictional contact. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 15
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5457-5462 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The use of ferroelectric SrBi2(Ta1−xNbx)2O9 (SBTN) as a mainstream form of nonvolatile memory requires that the degradation of its electrical qualities that is caused by annealing in a hydrogen atmosphere be reduced. Titanium nitride (TiN) is a candidate for use as a barrier-metal layer against hydrogen diffusion. The relationship between the degradation in the qualities of SBTN and the quality of the TiN barrier metal has been investigated. TiN when sputtered onto SBTN capacitors creates a good barrier under all sputtering conditions, and maintains the electrical characteristics of the SBTN through annealing in an atmosphere of H2. Higher density TiN films provide more effective protection. The characteristics of the degraded capacitor were investigated in terms of its current-voltage characteristic. Remanent polarization can be recovered from, at least partially, by applying a series of bipolar pulses. This rejuvenation of the electrical qualities indicates that degradation arises from a combination of electrical and structural faults. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 16
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4595-4604 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We have analyzed the asymmetry between growth and dissolution using Monte Carlo simulations of flat and vicinal (100) surfaces of a Kossel crystal. We find that at a high driving force dissolution is very anisotropic and nearly atomically flat surfaces are produced, if the nearest-neighbor bond strength is sufficiently large. This effect we call kinetic smoothing. For wet-chemical etching of the Si(111) surface, the chemical-etch reaction determines the annihilation rate constants. If the differences between the rate constants for removal of atoms from kink, step, and terrace sites are large enough, then we observe smooth surfaces and anisotropic etching, i.e., kinetic smoothing. If etching is anisotropic, knowledge of the annihilation rate constants suffices to find an analytical expression for the etch rate as a function of misorientation. This expression can be used to fit experimental etch rates for etching of vicinal Si(111) in potassium hydroxide. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 17
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4605-4611 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The structural and chemical characteristics of FexMn1−x alloys in [FexMn1−x/Ir(001)] superlattices with iron contents of x=0.3, 0.5 and 0.9 are investigated at the nanometer scale by high resolution transmission electron microscopy and electron energy loss spectroscopy techniques. The transmission electron microscopy experiments show that pseudomorphic growth of the alloy on Ir is only achieved for x≥0.5. Layers with iron content x=0.5 display, however, structural inhomogeneities and a weak Mn segregation effect. Layers with a high iron content, Fe0.9Mn0.1 are seen to present a quasiperiodic lattice modulation. We suggest that this modulation is associated with spinodal decomposition. All these structural investigations indicate that, in epitaxial strained thin layers, the FexMn1−x alloy reproduces almost all of the complex FexMn1−x phase diagram with, however, a marked shift towards the low Fe content regions. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 18
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4628-4633 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A dense rutile TiO2 thin film was synthesized by the thermal oxidation of a sputtered titanium metal film in ambient air. The effects on optical properties of TiO2 films of the crystal structure and microstructural evolution at various oxidation temperatures were investigated. The Ti films transformed into single-phase rutile TiO2 at temperatures ≥ 550 °C without going through an anatase-to-rutile transformation. Instead, an additional crystalline Ti2O phase was detected at 550 °C only. An increase in the oxidation temperatures ranging between 700 and 900 °C led to an increase in both the refractive index and absorption coefficient, but a decrease in the band gap energy (Eg). According to the coherent potential approximation model, the band gap evolution of the oxidized films was primarily attributed to the electronic disorder due to oxygen deficiency at a higher oxidation temperature rather than the presence of an amorphous component in the prepared films. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 19
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4693-4696 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The steady state photocarrier grating method is re-examined to take account of Fresnel reflection losses at the surface. With the present conventional experimental method, the key experimental quantity β is the ratio of two lock-in amplifier readings of the conductance of the specimen with and without photocarrier grating fringes, respectively. It is shown that because of the change in optical polarization between the two readings a multiplicative factor on the measured β is necessary. Both experimental and computed results show that this can have an effect on the derived ambipolar diffusion length and surface recombination velocity. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 20
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4871-4874 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: This experimental study concerns the secondary emission coefficient γ for two dielectrics (MgO and enamel) used in plasma display panels. Different pure rare gases were considered at pressures varying from 5 to 50 Torr. Here, we present the variations of coefficient γ as a function of the reduced field E/p. They were obtained by introducing the breakdown voltages measured experimentally on a sample of plasma panel, into the self-sustained equation. Concerning a 0.5 μm thick MgO layer, results are shown for five gases (helium, neon, argon, krypton, and xenon) whereas for a 25 μm thick enamel layer, they are presented for neon and xenon. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 21
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4889-4897 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The x-ray standing wave method is used in correlation with reflection high-energy electron diffraction and scanning tunneling microscopy to investigate the crystallographic features of MnTe monolayers inserted in CdTe (001). Either conventional molecular beam epitaxy or atomic layer epitaxy were employed for the formation of the CdTe starting surface, the deposition of the MnTe fractional monolayer, and its encapsulation by CdTe. Significant differences concerning the ratio of Mn atoms involved in MnTe clusters to those incorporated as part of a CdMnTe alloy are observed between the samples. Those differences are due to differing CdTe starting surface roughness. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 22
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Aligned carbon nanotubes were synthesized on Ni-coated Si substrates using microwave plasma-enhanced chemical vapor deposition. The surface morphology of Ni thin films was varied with the rf power density during the rf magnetron sputtering process. It was found that the growth of carbon nanotubes was strongly influenced by the surface morphology of Ni thin film. Pure carbon nanotubes were synthesized on Ni thin film with uniformly distributed grain sizes, whereas large amounts of carbonaceous particles were produced in addition to the nanotubes, when the nanotubes were grown on Ni thin film with widely distributed grain sizes. With decreasing Ni-grain size, the diameter of nanotubes decreased and the length increased. High-resolution transmission electron microscope images clearly demonstrated the nanotubes to be multiwalled, and the graphitized structures were confirmed from the Raman spectra. Efficient field emission was observed from the diode structure with the nanotube tips. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 23
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4768-4771 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We investigated the growth conditions and electrical properties of MgO epitaxial thin films, which have potential applications as insulating layers for spin-dependent tunneling devices where Fe3O4 serves as one of the magnetic electrodes. Our investigation showed that epitaxial MgO films with high crystalline quality can be successfully grown at temperatures as low as 473 K in oxygen pressures less than 1×10−5 Torr. This is a very important result because it indicates that the oxidation of the underlying Fe3O4 electrode is not a factor in fabrication of spin-dependent tunneling devices. We also examined the electron tunneling properties of Au/MgO/Fe3O4 junction with an ultrathin MgO layer prepared under the conditions described above and found excellent electron tunneling properties, as will be discussed. Barrier height and thickness estimated by curve fitting current density–voltage curves using the Simmons equation yielded barrier height and thicknesses of 0.9 eV and 2.5 nm, respectively. These values were consistent with those estimated by taking into account the reduction of the barrier height due to image forces. These results indicate that the MgO insulating layers grown under the restricted conditions have satisfactory electrical qualities required for spin tunneling devices. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 24
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4789-4793 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The actuator functionality of electromechanical polypropylene films was studied using atomic force microscopy. The film carries a permanent electric charge and includes microbubbles as a result of two-dimensional stretching of the film. The thickness change of various film structures covered with electrodes was measured as a function of external voltage. The dependence was found to be nonlinear, the thickness change in the range 0.001%–0.1% of the total film thickness and affected by the internal charge density of the film. Applying a capacitor model including an air gap within the polymer layer enabled the determination of the Young's modulus, the interfacial charge density and the actuator sensitivity of the studied structures. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 25
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4825-4831 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Most friction studies using an atomic force/friction force microscope, while concentrating on material-induced effects, often present users with conflicting and confusing interpretations of the topography-induced friction forces. It has been generally reported that topography-induced contributions are independent of scanning direction and can be removed by subtracting friction data from forward and backward scans. In this article, we present friction studies on samples with well-defined topography variations and find that the above-given statement is not generally true. At surface locations involving significant changes in topography, the topography-induced contributions to friction forces are found to be different between forward and backward scanning directions. This is explained by the ratchet mechanism of friction and due to the additional torsion generated by "collision" of the tip when traversing up an increase in topography, which is absent in the downward travel. Topography-induced contributions to the friction force always correspond to transitions in the surface slope. Moreover, these contributions will be of the same sign in both Trace and Retrace friction profiles of the friction loop whereas changes due to material effects are in opposite directions. These characteristics of topography-induced friction forces will aid in differentiating them from other effects. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 26
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3853-3858 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A large optical nonlinearity of n2=0.57 cm2/W in photorefractive mesogenic composites was achieved with low applied dc fields (0.30 V/μm) and a fringe spacing of 2.8 μm. The resultant diffraction efficiency from the Bragg gratings, which were written by a less intense laser (frequency-doubled Nd-YAG laser with a 532 nm wavelength), was around 39%. The limiting factors underlying these nonlinearities and their dynamics are also discussed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 27
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3872-3878 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Second-harmonic scanning optical microscopy (SHSOM) is performed on electric-field poled silica-based waveguides. Two operation modes of SHSOM are considered. Oblique transmission reflection and normal reflection modes are used to image the spatial distribution of nonlinear susceptibilities in the sample surface plane and in depth. It is shown that the spatial resolution in normal reflection mode can be better than 1 μm for second-harmonic images. A simple qualitative description of this operation mode is suggested and found to be in good agreement with the results obtained. Advantages and limitations of the two operation modes when used for SHSOM studies of poled silica-based waveguides are discussed. The influence of surface defects on the resulting second-harmonic images is also considered. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 28
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4904-4906 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The temperature and excitation-intensity dependence of the ∼0.9 eV photoluminescence (PL) band has been studied in several microcrystalline silicon (μc-Si) films with varied crystallinity. When the measurement temperature is increased from 15 to 180 K, the PL peak energy redshifts from 1.0 to 0.83 eV. The PL quenching of the intensity follows a model of carrier thermalization in an exponential band tail with a width of ∼20 meV. The total PL intensity (IPL) as a function of excitation intensity (Iex) obeys a power law of IPL∝Iexγ, where γ(approximate)0.65 or 1 for high or low excitation intensity, respectively. The experimental results suggest that the ∼0.9 eV PL band originates from radiative tail-to-tail transitions in the grain-boundary region in μc-Si. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 29
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4910-4912 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Diffraction efficiency and phase stability of photorefractive polymer composites doped with alkylether-substituted azobenzene dyes are studied as a function of dye concentration. Composites doped with 2,5-dimethyl-4-(4′-nitrophenylazo)phenyl benzyl ether (DMNPAPBE) are phase stable at all concentrations studied while composites doped with 2,5-dimethyl-4-(4′-nitrophenylazo)phenyl octyl ether (DMNPAPOE) exhibit a critical concentration of 47% above which the composite rapidly degrades due to dye crystallization. The glass transition temperatures Tg of DMNPAPBE- and DMNPAPOE-doped composites are approximately 67 and 57 °C, respectively. Diffraction efficiency measurements of DMNPAPOE-doped composites show a threefold increase at 100 V/μm over DMNPAPBE-doped composites at the same dye concentration and applied field. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 30
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4907-4909 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The strain versus electric field (ε−E) and strain versus stress (ε−σ) response characteristics of 〈001〉-oriented crystals of Pb(BI1/3BII2/3)O3–PbTiO3 have been investigated. Under zero field, a ferroelastic switching has been observed under moderate uniaxial prestress levels. The magnitude of the elastic strain switched was ∼0.4%. In addition, an elastic softening was observed during switching, where the elastic constant decreased from ∼1010 to ∼3×109 N/m2. At higher stresses, the elastic constant stiffened to ∼5×1010 N/m2, which upon application of dc electrical bias softened to ∼1010 N/m2. The importance of ferroelastic switching and elastic nonlinearity is discussed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 31
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4916-4918 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Study by time-resolved photoluminescence shows that two classes of quantum structures coexist in a ZnSe/CdSe/ZnSe heterostructure in which the CdSe coverage is less than the critical thickness. Excitons from class-A structures dominate the emission spectrum and exhibit temperature-independent decay times, demonstrating quantum-dot-like properties. On the other hand, excitonic transitions from class-B structures are characterized by decay times that depend linearly on temperature, indicating two-dimensional features. There is a sharp transition from class-A to class-B excitons as the emission energy goes from higher to lower energies across the emission band. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 32
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3795-3819 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The atomic process, kinetics, and equilibrium thermodynamics underlying the gettering of transition-metal impurities in Si are reviewed. Methods for mathematical modeling of gettering are discussed and illustrated. Needs for further research are considered. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 33
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3832-3839 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We have demonstrated that the population feeding from the 4I11/2 level to the 1.5 μm fluorescence emitting 4I13/2 level of Er3+ ions in low phonon energy glass hosts can be enhanced by codoping with Ce3+ under optical pumping at 980 nm. The nonradiative energy transfer Er3+: 4I11/2; Ce3+: 2F5/2→Er3+: 4I13/2; Ce3+: 2F7/2, occurs in the form of phonon-assisted energy transfer, and therefore the feeding rates are faster in the tellurite glasses, which have a comparatively higher phonon energy than in the sulfide glasses. The cross-relaxation process for 4I13/2: 4I13/2→4I15/2: 4I9/2, which lowers the population density of the 4I13/2 manifold and causes a deleterious effect in the 1.5 μm fluorescence intensity, is more severe in the sulfide glasses. Population feeding rate from the 4I11/2 to the 4I13/2 level is significantly enhanced by way of cerium codoping into tellurite glasses, which promises an efficient 980 nm pumped broadband Er3+-doped fiber amplifier. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 34
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3879-3888 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: An external magnetic field between the electron source and a target causes the reflected electrons to move along the magnetic force lines towards the source region. There they get reflected back to the target by the electric field of the source. These electrons distort the electric field in the source region, and change the source's operational parameters. Penetration of reflected electrons back into the source region reduces essentially the space charge limited emission current density of a cathode and the minimum current density to form a potential minimum and a virtual cathode. How much the emission current density decreases depends on the target material's atomic number, Z, which determines the reflection coefficient and the energy spectrum of the reflected electrons. The calculations are in good agreement with experimental data. The analysis shows that reflected electrons must be considered for a correct calculation of the beam parameters at the target for the distribution of the energy density deposited into the target, and for a better understanding of the electron source operation. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 35
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3905-3913 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A macroscopic plasma display discharge cell has been designed in order to more easily study the plasma evolution in dielectric barrier discharges occurring in the much smaller commercial ac plasma display panels (PDPs). The electrodes in the macrocell can be arranged in matrix or coplanar configurations. The dimensions of the cell are 100 times larger than those of typical PDP cells and the gas pressure is 100 times smaller. Although some of the properties of the discharge pulse obviously do not follow the classical similarity laws, we find that the macrocell is a very useful tool for improving our understanding of the discharge in a PDP cell. The large dimensions of the cell and the longer time scale because of the smaller pressure make the plasma diagnostics easier than in a real PDP cell. The results are presented here for discharges in pure neon at 5 Torr. Measurements of the time evolution of the current and imaging of the plasma with an intensified charge coupled device (ICCD) camera are presented in matrix electrode configurations and are compared with previously developed models. The experiment confirms the large power deposition in electron impact excitation of the gas atoms while the plasma spreads over the dielectric surface above the anode. The images obtained with the ICCD camera also show the existence of striations of the plasma near the dielectric surface which were not predicted by the models. Measured and calculated duration and shape of the current pulse are in reasonable agreement. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 36
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3934-3940 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: An extended investigation of the phase separation process in polymer dispersed liquid crystals is presented. A detailed analysis of the experimental results shows that the nucleation and growth of the liquid crystal droplets from the polymeric matrix can be described as the coarsening process occurring in binary alloys. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 37
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3948-3953 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Raman scattering, x-ray diffraction, and transmission electron microscopy (TEM) were used to study GaAs layers grown by molecular beam epitaxy at low substrate temperatures (LT-GaAs). The intensity of forbidden Raman scattering of longitudinal optical and transverse optical phonons linearly increases as a function of the concentration of excess As in the range of [AsGa]=0.04×1020–1.175×1020 cm−3. Concentrations of excess As in LT-GaAs layers were estimated from the lattice spacings measured with an x-ray diffractometer. No obvious defect was seen in cross-sectional TEM images of these nonstoichiometric As-rich GaAs layers. The origin of the forbidden Raman scattering of the nonstoichiometric LT-GaAs layers is explained as the strain induced by AsGa (As antisite)-related defects with low structural symmetry. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 38
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3962-3967 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: For local structure analyses of carrier trap centers in semiconductors, site-selective x-ray absorption fine structure (XAFS) measurement, the "capacitance XAFS" method, is proposed. The concept of capacitance XAFS measurement is based on the fact that the amount of x-ray absorption of trap centers, not the bulk, may be evaluated from the capacitance change due to x-ray induced photoemission of a localized electron. In order to verify this model, characteristics of x-ray induced photoemission from defects are investigated by capacitance–voltage measurement. The temperature dependence of the photocarrier concentration in a semiconductor corresponds to that of the capacitance XAFS signal amplitude. On the other hand, no influence of the thermal excitation of defects on the capacitance XAFS signal amplitude is observed. These results indicate that the capacitance XAFS signal originates from localized electron emission via the inner-shell excitation of defect atoms, resulting in site selectivity to the trap centers. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 39
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3982-3987 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The structural changes during the crystallographic transition from fcc to fct of antiferromagnetic PtMn layers with postdeposition annealing, in spin-valve multilayers constructed by Ta/PtMn/CoFe/Cu/CoFe/NiFe/Ta/Si, were investigated using transmission electron microscopy and energy dispersive x-ray spectroscopy. We determined that the crystallographic orientation of the PtMn layers after postdeposition annealing depends on the initial size of the columnar grains in as-deposited spin valves. For spin valves with an initial grain size of 10 nm, the fcc→fct transition of PtMn layers was completed with the crystallographic orientation on the (111) texture. In contrast, spin valves with an initial grain size of 50 nm brought about a crystallographic reconstruction of the PtMn layer due to the tensile stress from neighboring grains during the fcc→fct transition. This results in a transformation from a fcc(111) to a fct(101) texture and a recombination of grains to form giant grains of 300–500 nm in the PtMn layer. This dynamic reconstruction promotes the interlayer diffusion of constituent atoms in spin-valve multilayers, leading to an increase in the interlayer coupling field between the pinned and free layers (Hin) and a promotion of thermal degradation of magnetoresistance (ΔR). © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 40
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4004-4012 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We derived a closed-form solution for the pressure required to open a spherical or a cylindrical cavity in brittle materials which demonstrate a two-curve pressure–shear behavior. The material is allowed to crack under tension and fail under shear; only both failure modes result in comminution. Since the cavity expansion pressure is closely related to the penetration resistance of a target material, this solution identifies the material parameters that are important in impact and penetration problems. It is found that cracking and comminution can be prevented when a large enough confinement pressure is present, and the resulting high cavity expansion resistance could explain the intriguing phenomenon of interface defeat. The effects of dilatancy, and shear strength of comminuted ceramic on cavity expansion pressure are explicitly revealed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 41
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4022-4025 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The relationship between the driving force for a martensitic transformation, ΔG, and the motion velocity of the martensite/parent interface, v, is derived for the Hamiltonian of a one-dimensional atomic chain with a nonlinear periodic potential model suggested by Peyrard and Remoissenet [Phys. Rev. B 26, 2886 (1982)] as ΔG=(2ma/π2)ω[C/1−v2/C2](4.026 92−2.931 92r−0.762 24r2), where m is the atomic mass, a the spacing of the one-dimensional lattice, r the shape parameter indicating the periodic lattice potential and C and ω are the characteristic velocity and frequency of the system, respectively. This result shows that the velocity of interface motion increases as the driving force increases. This equation is valid for different materials, regardless of whether the softening for martensitic transformation exists. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 42
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4085-4090 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Epitaxy of high-quality GaN on sapphire requires a rather sophisticated substrate preparation prior to the GaN epilayer growth, namely nitridation of the substrate's surface, growth of a GaN nucleation layer at a relative low temperature, and reduction of the defect density of this layer by a subsequent annealing step. For studying both, the detailed mechanisms of this complex procedure and its growth parameter dependencies, we attached an in situ spectroscopic ellipsometer to a nitride metal-organic vapor phase epitaxy reactor. First, the high-temperature dielectric function of GaN was measured using samples from different suppliers. Based on these data, the effect of growth parameter variations on the crystal quality of GaN epilayers could be monitored in situ. In particular, we determined the threshold temperature and the duration of the substrate nitridation under ammonia as well as the thermal threshold and duration of the nucleation layer transformation. Additionally, based on the in situ measurements a qualitative estimate for the crystalline quality of the nucleation layer and the epilayer is provided. Finally, the surface roughness of differently prepared GaN layers was evaluated by using the high-energy spectroscopic range of our vacuum-ultraviolet ellipsometer (3.5–9.0 eV). © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 43
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4117-4121 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The optical energy band gaps of BaAl2S4 and BaAl2Se4 single crystals at 300 K were found to be 3.98 and 3.35 eV, respectively, and the optical energy band gaps of Ho3+, Er3+, and Tm3+-doped BaAl2S4 and BaAl2Se4 single crystals were smaller than those of the undoped single crystals. Photoluminescence spectra peaked at 459 and 601 nm in the BaAl2S4 and at 486 and 652 nm in the BaAl2Se4. The photoluminescence emission peaks were attributed to donor–acceptor pair recombinations. Photoluminescence spectra of the Ho3+, Er3+, and Tm3+-doped BaAl2S4 and BaAl2Se4 at 5 K were measured in the wavelength range of 400–900 nm. Sharp emission peaks due to Ho3+, Er3+, and Tm3+ ions were observed and their transition mechanisms were proposed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 44
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4135-4139 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Interface phenomena play a vital role in thermoelectric (TE) microrefrigerators. The present study employs a phenomenological model to examine the behavior of TE refrigerators as a function of thermal and electrical contact resistance, boundary Seebeck coefficient, and heat sink conductance. We modify the conventional definition of the figure of merit to capture the interface effects. A finite temperature drop across the interface between a metal electrode and a thermoelement is found to strongly influence the boundary Seebeck effect. Interface engineering can potentially improve the overall performance of TE microrefrigerators. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 45
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4164-4169 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Despite a large amount of data and numerous theoretical proposals, the microscopic mechanism of transport in thick-film resistors remains unclear. However, recent low-temperature measurements point toward a possible variable-range-hopping mechanism of transport. Here, we examine how such a mechanism affects the gauge factor of thick-film resistors. We find that at sufficiently low temperatures T, for which the resistivity follows the Mott's law R(T)∼exp(T0/T)1/4, the gauge factor (GF) is proportional to (T0/T)1/4. Moreover, the inclusion of Coulomb gap effects leads to GF∼(T0′/T)1/2 at lower temperatures. In addition, we study a simple model which generalizes the variable-range-hopping mechanism by taking into account the finite mean intergrain spacing. Our results suggest a possible experimental verification of the validity of the variable-range hopping in thick-film resistors. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 46
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Low-resistivity indium–tin–oxide (ITO) films (8.9×10−5–2.3×10−4 Ω cm), 80±20 nm thick grown by combining pulsed laser deposition and laser irradiation of the substrate were studied in relation to tin (Sn) doping content. Films with Sn doping content over the range 0–10 wt % were deposited at room temperature (RT) and 200 °C at a fixed oxygen pressure of 1×10−2 Torr. The laser beam with energy density of 70 mJ/cm2 was directed at the middle portion of the substrate during growth. At RT, the laser-irradiated and nonirradiated parts of the films exhibited crystalline and amorphous phase, respectively. The amorphous films indicated a steady resistivity, carrier concentration, and Hall mobility of ∼2.4×10−4 Ω cm, 8×1020 cm−3, and ∼32 cm2/V s, respectively, and showed no significant change over 0–10 wt % Sn doping content. The crystalline films deposited at RT by laser irradiation and 200 °C indicated a strong dependence of the resistivity, carrier concentration, and Hall mobility on Sn doping content over the range 0–10 wt %. The Hall mobility of the ITO films steadily decreased with increasing Sn doping content. Study on the scattering mechanisms based on the experimental data and theoretical models showed that the scattering of free carriers in the films was caused mainly by ionized centers. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 47
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4128-4134 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The low-temperature charge transport in n-CuGaSe2 was investigated in zero and nonzero magnetic field. Both the Mott as well as the Shklovskii–Efros regimes of the variable-range hopping are observed in different temperature intervals. The complete set of the parameters describing the properties of the localized electrons (the localization radius, the dielectric permeability, the width of the Coulomb gap, and the values of density of states at the Fermi level) are obtained by analysis of the conductivity in zero field, on one hand, and the positive magnetoresistance in a small field, on the other hand. The negative magnetoresistance in low fields is observed in all specimens in both hopping regimes. Moreover, it is interpreted as a result of quantum interference between different paths of the tunneling electrons in conditions of scattering by intermediate centers. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 48
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4146-4152 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Stainless steel wires under tensile stress displayed narrow band peaks in the low frequency region of the power spectrum that were ∼104 times the background Johnson noise. The electrical response to stress was analyzed in terms of the root mean squared (rms) voltage (Vrms) of the noise signal over a 13 Hz bandwidth. The information obtained from such measurements is independent of other nondestructive testing methods and is therefore a useful probe of the stress-strain dynamics in metals and alloys. The rms voltage initially increases exponentially with the applied stress but subsequently decreases near the point of failure. This voltage is also approximately proportional to the square root of the sample resistance (Rs1/2). It is proposed that this noise signal is due to the fluctuations in the piezoresistivity as a result of stress induced reorientation of defects, similar to the Zener relaxation of solute atoms in a solid solution. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 49
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4159-4163 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Electrical and optical properties of CuAlO2, a p-type conducting transparent oxide, were examined for the thin films prepared by the pulsed laser deposition technique. The indirect and direct allowed optical band gaps were evaluated to be ∼1.8 and ∼3.5 eV, respectively. The conductivity at 300 K was ∼3×10−1 S cm−1 and its temperature dependence is of the thermal-activation type (activation energy (approximate)0.2 eV) at temperatures 〉220 K but is of the variable-range hopping type (log σ∝T−1/4) at 〈220 K. It was inferred that an admixed state of Cu 3d and O 2p primarily constitutes the upper valence band, which controls transport of positive holes, from a combined information on ultraviolet photoemission spectrum with x-ray photoemission spectrum. An energy band calculation by full-potential linearized augmented plane wave method substantiated the experimental findings. The present results gave a solid basis for our working hypothesis [Nature (London) 389, 939 (1997)] for chemical design of p-type conducting transparent oxides. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 50
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4250-4256 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A Monte Carlo algorithm based on the hybrid Ising-DIFFOUR model is proposed to investigate the phase transition in ferroelectromagnetic lattice in which the ferroelectric order and antiferromagnetic order coexist below a certain temperature. The Ising spin moment and ferroelectric displacement and their susceptibilities as well, as a function of temperature for systems of different magnetoelectric couplings, are simulated and compared with the mean-field approach. The typical antiferromagnetic transition at Néel point and the ferroelectric transition at Curie point are observed at zero coupling. It is demonstrated that a weak ferromagnetic order can be activated by introducing the magnetoelectric coupling or applying external magnetic field, while the external electric field has little effect on the ferromagnetic ordering behavior. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 51
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4446-4448 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: An intense blue-violet band, centered at 3.0 eV, has been observed besides the red-orange band of the photoluminescence (PL) from the chemically modified porous silicon (PS) samples. The PS samples were formed on 30–50 Ω cm p-type (100) Si wafers by anodic etching at large current densities and then treated with 5-cyano-1-pentynes in toluene solution at 110–120 °C. The intensities of the blue-violet lights increase with the increasing of the etching current densities, while the peak energies are hardly changed. The Fourier transform infrared spectra of the modified samples show that the alkyls have been bonded to the surfaces of the nanometer crystallite silicon particles. The decays of the blue-violet emission with a lifetime about 0.5 μs are different from the nanosecond lifetimes of the blue emissions in the oxidized PS samples reported before, and the 20 μs lifetimes of the concomitant orange PL bands. A carbon-compounds-related luminescence center has been proposed as the origin of this blue-violet PL band. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 52
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4457-4457 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 53
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 4455-4456 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 54
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3158-3165 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Carbonaceous masks for selective growth on GaAs substrates were fabricated with high resolution by anodization with an atomic force microscope (AFM). Mask deposition is made by a 15-kV accelerated electron-beam irradiation in a scanning electron microscope. The local anodization of the carbonaceous film under intense electric field is investigated and the main factors for improving resolution and reproducibility are discussed. The "edge effect" of the anodized region, revealed in the electric-field distribution at the tip–water–film interfaces is identified as the main factor responsible for the resolution degradation during patterning. Short forward bias pulse for anodizing the carbonaceous film and the subsequent reverse bias pulse for neutralizing the space charge, locally accumulated during the forward bias, are shown to be effective for the higher pattern resolution and also for deepening the patterning depth. Based on the analysis, a modulated-amplitude pulsed bias mode is proposed and is demonstrated to bring a significant improvement in the resolution and the aspect ratio of patterns made by the anodization. Carbonaceous masks ready for selective area growth of semiconductors alloys were fabricated with the pattern resolution of ∼26 nm, limited by the curvature of AFM cantilever tips. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 55
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3198-3201 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Plasma doping (PD) is an alternative technique to form shallow junctions in deep-submicrometer microelectronic devices. Previous studies have demonstrated that PD produces shallow junctions with better efficiency than those by conventional low energy beam-line doping (BD). In addition, even though cross-sectional transmission electron microscopy reveals that the surface layer is amorphized after high dose BF3 PD or BD implantation, PD samples show less residual defects after rapid thermal annealing. For ultrashallow junctions, doping profiles with a high dopant concentration near the surface are required for the formation of low resistant contacts. In this article, we demonstrate the use of nonideal voltage pulse shape in achieving advantageous doping profiles that are difficult to obtain via BD. By performing particle-in-cell (PIC) simulation, we derive the ion energy distributions for different sample voltage pulse shapes for BF3 PD. Comparison of the PD boron depth profiles simulated by PIC and an assumed Gaussian implant profile to the BD boron depth profiles simulated by TRIM shows a low energy component that does not exist in BD samples. The rise and fall time of the sample voltage pulse contributes to the overall energy distribution since a long rise or fall time increases the low energy component. We postulate that these low energy ions may also change the nature of the amorphized layer and are one of the reasons for the reduction of residual defects after rapid thermal annealing. The preferred sample voltage pulse for plasma doping is suggested to be a short one with a relatively long rise and fall time. This is something that is very difficult to achieve by beam-line ion implantation. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 56
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3202-3207 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: It is shown experimentally that electrostatic coupling between the ferroelectric "capacitor" and the anode-cathode gap controls the emission process in ferroelectric cathode. Three main quantities were demonstrated to be directly correlated to the energy stored in the ferroelectric: the energy in the diode, the diode impedance, and the delay of the peak (anode) current relative to the triggering time of the ferroelectric. The polarity of the triggering voltage does not seem to have a significant impact on the performance of the diode. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 57
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3231-3234 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Trifluoromethane, CHF3, is used for plasma etching of silicon compounds for microelectronics fabrication, and so there is interest in developing computer models for plasmas sustained in CHF3. Recent measurements of electron swarm parameters, and electron impact dissociation and ionization cross sections, have provided a sufficient basis to develop a working electron impact cross section set for CHF3. Such a cross section set is reported here. We found that increased energy losses from dissociative electronic excitation processes were required to reproduce experimental ionization coefficients. The cross sections for attachment are small with there being some uncertainty in their magnitude at low energies. The cross sections were used in a plasma equipment model for an inductively coupled plasma reactor and compared to discharges sustained in C2F6. For otherwise identical operating conditions, plasmas sustained in CHF3 had higher electron and lower negative ion densities. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 58
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3285-3289 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We start with an analysis of the Raman spectra of Ge nanocrystals obtained in previous studies and demonstrate that in many cases the observed experimental peak attributed to Ge in fact originates from the Si substrate. We further compare various experimental ways to separate the Ge signal from that of the substrate and suggest optimum conditions for such measurements. Finally, we demonstrate that upon the annealing of an amorphous Ge–Si–O film, Ge nanocrystals are formed. The nanocrystals are randomly oriented and Ge–Si mixing takes place only at the interface with the Si substrate. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 59
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3276-3284 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Cast and rapidly quenched samples of ternary system GaTeSn in the Te rich corner were studied by means of x-ray diffraction, 119Sn Mössbauer spectrometry at 77 K, and differential scanning calorimetry. The crystallization products, the activation energy, the crystallization enthalpy, and the crystallization and glass temperatures of the amorphous phases are reported. The addition of Sn to GaTe increases the glass forming range of the binary system and the thermal stability of the amorphous phase. In the crystalline samples the divalent Sn atoms are always surrounded by Te in octahedrally coordinated environments. It is observed that the addition of Sn, above a threshold concentration, stabilizes the high temperature phase Ga2Te5 at room temperature. The Mössbauer spectra obtained on amorphous samples indicate two kinds of environments at Sn sites: one ascribed to the octahedral coordination, the other one to Sn surrounded by Te with tetrahedral coordination. The Mössbauer results are related to the calorimetric measurements: the role of Sn to stabilize the amorphous structure is discussed. The first steps of the crystallization of pure Te are modeled assuming homogeneous nucleation followed by three-dimensional interface-controlled growth of the crystal. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 60
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3316-3322 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: In direct-write optical lithography (DWOL), an intense, nearly-resonant standing light wave of wavelength λ is used to focus a collimated atomic beam. In this way, a grating structure is deposited on the substrate. We argue that during DWOL adatoms move primarily as a result of collisions with incident atoms. The resulting equation of motion for the film surface describes the way in which the features smooth and broaden as the film thickness increases. We find that as the film thickness grows large, the topography tends to a steady state form with amplitude proportional to λ4. No matter how well focused the incident atomic flux is, in the steady state the surface corrugations have a full-width-at-half-maximum that is greater than 45% of the peak-to-peak distance. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 61
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3341-3348 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: In contrast to the continuous metalorganic vapor phase epitaxy of (GaIn)P, a modulated growth process yields higher degrees of superlattice ordering along with a more complex domain hierarchy. Using cross-sectional as well as plan view transmission electron microscopy, we have studied the two-dimensional contrast modulation which occurs at a deposition cycle of 1 monolayer (ML) GaP/1 ML InP. The interlocking modulation waves create a columnar domain morphology coexisting with an antiphase domain structure. The lateral size of the domain columns ranges from 25 to 50 nm depending on the misorientation of the GaAs substrate. Dark-field imaging and atomic force microscopy investigations provided clear evidence of stress relief involved in the domain formation. At zero off cut, complementary single ordering variant superdomains are formed in parallel with faceting of the growth front. The 4°–6° B facets appear the energetically more stable growth planes compared to the exact (001) crystallographic plane. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 62
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3354-3360 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The crystal morphologies and phase composition of diamond crystallites during bias enhanced nucleation and initial growth stages in microwave plasma chemical vapor deposition were investigated. Diamond nuclei were first formed in the central regions of substrates and then propagated to the sample edges. During the course of bias nucleation, excessive ion bombardment induced secondary nucleation sites on the already formed nuclei. The secondary nucleation deteriorated the overall alignment of the growing crystals. Hence, the elimination of secondary nucleation and homogeneous nucleation over substrates are fundamental requirements for the deposition of large-area uniformly oriented diamond films. Decreasing reactant pressure was found to be effective for improving plasma homogeneity and consequently nucleation uniformity. The results of bias enhanced nucleation within a pressure range from 8 to 20 Torr showed that the lower pressure of reactants enlarged the area of oriented diamond films. However, the optimum bias and duration of nucleation was found to be specific for each pressure. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 63
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3396-3401 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: This work deals with photoluminescence study of silicon nanoparticles produced by CO2-laser-induced decomposition of SiH4 mixed to helium in a controlled atmosphere reactor. By adjusting the pressure of both reactor and precursor gas and its dilution rate in helium, we were able to control, to a certain extent, the silicon growth rate and hence the particle diameter. This latter was determined by both small angle neutron scattering techniques and high resolution transmission electron microscopy observations. Particles with mean diameter ranging between 3 and 10 nm were submitted to photoluminescence and infrared absorption spectroscopy measurements. The photoluminescence spectra revealed two main peaks at about 1.7 and 2.1 eV. The peak position of the former was insensitive to the change of particle size, while its intensity increased after oxidation. The latter showed, however, a slight size dependence but had undergone a drastic decrease after oxidation. These features enabled us to ascribe the red peak (1.7 eV) to some radiative surface defect, while the yellow peak (2.1 eV) appeared consistent with an emission from an oxygen-related defect such as the nonbridging oxygen hole center. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 64
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3454-3457 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The crystal structure of ZnO is wurtzite and the stacking sequence of atomic layers along the "c" axis is not symmetric. As a result, a ZnO crystal surface that is normal to the c axis exposes one of two distinct polar faces, with (0001¯) being considered the O face and (0001) the Zn face. Photoluminescence (PL) measurements on the two faces reveal a striking difference. Two transitions are observed in PL that are dominant from the O face and barely observed in PL from the Zn face. These lines are identified as phonon replicas of a particular D0,X transition using energy separations, excitation dependence, and time-resolved PL measurements. In addition, PL emission from free excitons is found to be more intense from the O face than from the Zn face. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 65
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3479-3483 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We employ an elementary model for the distribution of electronic states to develop a quantitative theory of equilibrium occupation statistics in disordered semiconductors. In particular, assuming Fermi–Dirac statistics and charge neutrality, we determine how the Fermi level position varies with temperature for various amounts of disorder and various dopant concentration levels, disorder being represented by the breadth of the tails in the conduction band and valence band distributions of electronic states. We find that as the disorder is increased the Fermi level is pulled towards the intrinsic Fermi level. An explanation for this result is provided. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 66
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5050-5055 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A detailed calculation of the three-dimensional strain field in and around InAs/GaAs quantum dots is presented. The strain field is calculated by minimizing the elastic strain energy on a cubic grid. Surface boundary conditions are incorporated to enable the strain field at the surface of the cap layer to be examined in detail. This has important implications for the vertical and lateral ordering of subsequent layers of dots. Results are presented for a single dot as well as two and four coupled dots. It is found that the elastic energy density at the surface of the epilayer above a layer of seed dots exhibits strong minima directly above the seed dots, facilitating vertical ordering. An intriguing result is that, under certain conditions, satellite minima also occur at interstitial points, raising the possibility that a second layer of dots above the seed layer can have significantly more dots than the seed layer because of lateral ordering. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 67
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5033-5042 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Transport properties of Cr containing multicomponent oxide glasses Bi3Pb1Sr3Ca3Cu4−nCrnOx (n=0.025, 0.05, 0.1, and 0.2) dispersed with nanocrystalline particles (5–20 nm depending on the values of n) have been reported in the temperature range of 250–450 K. Conductivity of this glass-nanocrystal composite system shows little decrease with increasing Cr content. Above θD/2 (θD is the Debye temperature), conductivity data can be analyzed with small polaron hopping models. Interestingly, unlike undoped Bi4Sr3Ca3Cu4Ox (or Bi-4334) glasses [showing nonadiabatic small polaron hopping (SPH) conduction at T〉θD/2], the Cr doped glasses supports adiabatic SPH conduction mechanism above θD/2 indicating change of glass network structure due to partial substitution of Cu by Cr. But below this temperature Mott's or Greaves' variable range hopping models can be consistently used to fit the experimental conductivity data only with larger (compared to the usual transition metal oxide glasses) values of the density of states at the Fermi level N(EF). The most probable transport mechanism for the entire range of temperature and glass compositions is concluded to be due to multiphonon tunneling of large polarons between the nanoclusters present in the glasses which is also in sharp contrast to the behavior of the undoped (Bi-4334) glass. All the glass samples (except n≥0.2) are found to become superconductors by annealing at higher temperatures. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 68
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5071-5078 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: This paper reports the effects of an artificially introduced μm-sized periodic grating structure on the growth of spherulites in prepolymer/liquid crystal material systems between two glass substrates. The authors use the technique of forming the volume holographic grating to create well-defined obstacles having periodic structures. Spherulites grown in an ordinary polymer-dispersed liquid crystal (PDLC) environment are compared with spherulites in the holographic grating environment, and differences in shape are presented. Although spherulites grown under ordinary conditions for the formation of PDLC are characterized by a circular shape and well-developed radial striations, those grown in volume holographic gratings are elliptically deformed, oriented so that the long axis is in the direction of the gratings, and the major striations tend to be either parallel or perpendicular to the grating walls. These findings have been observed for different material systems, suggesting that the features are not simply material specific but more common to prepolymer/liquid crystal mixtures. Compared with other factors affecting the growth and shape of spherulites, a μm-sized periodic grating structure made of polymer-based materials acts not as a complete barrier but as a retarder, which causes directional variation in the movement of the growth front and leads to elliptical deformation in the growing spherulite. Based on the results of this study, the authors have proposed a model of the growth process of a spherulite in the grating environment. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 69
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5107-5112 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: ZnO films were grown on a (011¯2) r-plane LiTaO3 substrate by electron cyclotron resonance-assisted molecular-beam epitaxy. The detailed structures of the interface and defects are investigated by high-resolution electron microscopy and image simulation. The epitaxial relationship was found to be [0001]ZnO(parallel)[01¯11]LiTaO3 and (112¯0)ZnO(parallel)(011¯2)LiTaO3. This epitaxial relationship corresponds to the c axis of ZnO parallel to the piezoelectric r-plane LiTaO3, which results in the enhanced electromechanical coupling factor. The interfaces were very smooth and structurally semicoherent with a comparative regular array of misfit dislocations at the interface accommodating a lattice mismatch of 9.49% when the incident electron beam is parallel to the [0001]ZnO(parallel)[01¯11]LiTaO3 direction. A high efficiency of transfer of acoustic energy across the interface is expected for surface acoustic wave devices with such an interface. The dominant defects commonly observed in ZnO films were found to be the type-I1 intrinsic stacking fault. The formation of stacking faults was shown to be growth kinetics on particular crystallographic planes during the initial stage of film growth. The effects of these defects and interfaces on electrical and optical properties for device applications are discussed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 70
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3659-3666 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Polyimide was irradiated with a XeCl excimer laser (308 nm) and the ablated area and its surrounding were studied using transmission electron microscopy (TEM) and confocal Raman microscopy. Ring-like structures surrounding the ablated area were detected at all fluences. At fluences lower than 250 mJ/cm−2 the formation of conical structures was observed within the irradiated area. The width of the rings increases with fluence and only slightly with the number of pulses. The rings consist mainly of polycrystalline carbon with a relatively high bond angle disorder, with thickness decreasing radially from the crater edge. The thickness of the deposited carbon was determined from TEM analysis and calculated from the intensity ratios of Raman bands assigned to carbon and polyimide using a two layer model. Comparing the two results an estimate of the absorption coefficient of the deposited carbon could be obtained. On top of the cone structures carbon was detected with a higher degree of crystallinity and lower bond angle disorder as compared to the material deposited outside the crater. With energy dispersive x-ray analysis, calcium could be detected on top of the cones. Therefore, it can be assumed that the Ca impurities are causing the cone structures. The higher crystallinity of the carbon inside the irradiated area is probably due to a tempering-like process on top of the Ca compound which is heated upon laser irradiation or to a mixture of growth mechanisms similar to the ones suggested for the formation of carbon nanotubes on metal particles and carbon nanohorns without metal catalysis. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 71
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3705-3707 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Thermal stability of oxide precipitate nuclei has been investigated for Czochralski silicon crystals with nitrogen doping. The experimental result indicates that generation of the grown-in oxide precipitate nuclei stable over 800 °C is enhanced by nitrogen doping. On the other hand, even though we confirmed this existence, doped nitrogen shows no influence on further oxide precipitate nucleation during the isothermal annealing at 600 °C after an epitaxial silicon growth process. Thus, it is found that the nitrogen doping only enhances the oxide precipitate nucleation at higher temperature during crystal cooling. The enhanced precipitate nucleation during the cooling is considered to be through excess vacancies which are suppressed to agglomerate by nitrogen. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 72
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3725-3733 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Surface morphology of polycrystalline silicon prepared by excimer laser annealing has been investigated. It was found that when a thin amorphous Si film is irradiated by excimer laser, pillars are formed on the surface of the crystallized polysilicon. To find out the mechanism, various preparation parameters such as laser power densities, shot numbers and the thickness of the amorphous Si have been studied. Several intermediate patterns have been found which illustrate the sequential formation of pillars. A surface tension model is proposed to explain these patterns. This mechanism can be applied to prepare the self-assembled silicon quantum dots, whose average size and height are 14.2 and 3.7 nm, respectively. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 73
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3756-3758 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Thin films of La1/2Na1/2TiO3 fabricated by pulsed laser deposition show interesting dielectric properties exhibiting quantum paraelectric-type temperature-insensitive dielectric constant below 50 K. The dielectric constant (εr∼180) is also very stable under electric bias up to 4×104 V/cm. These properties indicate that these films coupled with high temperature superconductors have a great potential for microwave applications. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 74
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3776-3778 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The temperature dependence of the viscosity of metaphosphate glasses: (AgI)x(AgPO3)1−x (x=0.0–0.5) with low melting points has been determined near and below the glass transition temperature Tg using a method developed by the authors. In this temperature region, the viscosity–temperature relation of the glasses shows double Arrhenius equations, which have different activation energies near and below Tg, respectively. When an Arrhenius equation is applied to the observed α transition process near Tg for the glasses, the activation energy Eα appears to be proportional to Tg. The relation obtained for the glasses is Eα(kJ/mol)=(154±30)RTg(K) (R is gas constant). © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 75
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5221-5224 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Electronic structure calculations predict Ag3AuTe2 to be a small-band-gap semiconductor. Polycrystalline samples of the pure and doped materials have been synthesized, and the physical properties are reported. Thermoelectric power measurements indicate that pure Ag3AuTe2 is a p-type material with a very large room-temperature Seebeck coefficient of 530 μV/K. The thermal conductivity is very low, and at room temperature, is lower than that of the best thermoelectrics. The transport properties were found to be very sensitive to chemical doping and nonstoichiometry. Although samples made with excess Ag resulted in improved thermoelectric performance at higher temperatures (〉500 K), the large resistivity of these materials makes them noncompetitive with state-of-the-art thermoelectrics. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 76
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5225-5229 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: As-cut semiconducting InP wafers were submitted to Fe diffusion at high temperature. The subsequent electrical characterization showed that the wafers became semi-insulating, with resistivities well above 107 Ω cm and mobilities in the range of 3000–4000 cm2/V s. In this article a study on the uniformity of Fe-diffused semi-insulating InP wafers is presented. The photoconductivity, photoluminescence and resistivity mapping showed that the short range uniformity is improved with respect to typical as-grown Fe-doped InP. However, sometimes the wafers exhibit long range gradients of resistivity and luminescence intensity which are believed to be associated to temperature gradients inside the annealing furnace. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 77
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5268-5271 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We have observed the relaxation dynamics of coherent longitudinal optical phonons in CdSSe quantum dots embedded in a glass matrix by femtosecond time-resolved coherent anti-Stokes Raman scattering (CARS). The phase relaxation time is directly deduced from the exponential decay of the signal. Oscillations with frequency of the longitudinal optical (LO) phonon are superimposed on the CARS signal. We consider two possible mechanisms, which result in such oscillations. The excitation of a LO phonon wave packet of the fundamental and the overtone would produce quantum beats. The second possibility is displacive excitation of coherent phonons. This mechanism does not contribute to the CARS signal, but it could attenuate it periodically with the phonon frequency. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 78
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5283-5288 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A finite element program was used to calculate current distributions in superconductors, assuming a nonlinear (power-law or percolation-type) local dependence of the electrical field on current density. A bicrystal geometry, which forms the basic building block of (Bi,Pb)2Sr2Ca2Cu3Ox powder-in-tube tapes and other polycrystalline conductors, was studied. Current–voltage curves and critical currents were calculated for different geometrical and electromagnetic parameters. Bicrystals of "brick-wall" and "railway-switch" geometry were compared, and it was found that in both cases anisotropy is the dominating factor determining the overall critical current. Strong anisotropy leads to current concentration around grain boundaries, thereby reducing the critical current. Addition of a grain boundary with finite resistance does not significantly change the current distribution within the grains. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 79
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5300-5304 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We develop a theory for spin and orbital excitations in undoped manganites to account for the spin and orbital orderings observed experimentally. It is found that the anisotropy of the magnetic structure is closely related to the orbital ordering, and the Jahn-Teller effect stabilizes the orbital ordering. The phase diagram and the low-energy excitation spectra for both spin and orbital orderings are obtained. The calculated critical temperatures can be quantitatively comparable to the experimental data. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 80
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5318-5327 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The perplexing problem of the extremely large activation energy for nucleation Uac∼106 eV, which, as was shown by Landauer, appears in the Merz thermal-fluctuational theory of ferroelectric switching, is examined. Such enormous values of Uac are a result of the combined contribution of the energy of the depolarization field and the surface energy of the nucleus. While the depolarizing field term can be reduced by a redistribution of free charges, the surface energy term alone is too large (greater than 104 eV) for thermal-fluctuation-aided nucleation to be possible. This conclusion contradicts the numerous observations of a rapid increase in the number of repolarization nuclei with temperature, which suggest that the actual activation energy is on the order of an electron volt. This article is devoted to the problem of how the surface energy of a nucleus can be compensated. It is assumed that repolarization nuclei form by a fluctuon mechanism, and it is shown how this assumption can help in resolving the conflict between theory and experiment. It is suggested that upon application of an external electric field, electrons tunnel into the region where the spontaneous polarization vector fluctuates. This fluctuation region maintains its stability owing to the field of the electrons localized within the region. This leads to the appearance of a multielectron fluctuon, which is a bound state of electrons and a fluctuation and can be regarded as a repolarization nucleus. The electronic structure of these nuclei is calculated with density-functional theory. The calculations show that fluctuon effects can fully compensate the surface energy term. The assumption that repolarization nuclei have a fluctuon nature is shown to account for the principal laws governing the switching process, including low activation energy values. The results of possible experiments that could verify the proposed mechanism are predicted. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 81
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 5351-5359 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A molecular physics-based complementary model, which includes both field and current, is introduced to help resolve the E versus 1/E-model controversy that has existed for many years as to the true physics behind time-dependent dielectric breakdown (TDDB). It is shown here that either TDDB model can be valid for certain specified field, temperature, and molecular bonding-energy ranges. For bond strengths 〈3 eV, the bond breakage rate is generally dominated by field-enhanced thermal processes and the E model is valid. For bond strengths 〉3 eV, the bond breakage must be hole catalyzed by current-induced hole injection and capture. Under these conditions, the TDDB physics is described well by the 1/E model. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 82
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 3781-3783 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Photoluminescence (PL) spectra of partially oxidized porous Si (POPS) coated with Si thin films were examined using the 488 nm line of Ar+ laser. The obtained PL is stable, peaks at 1.763 eV with a blueshift of ∼60 meV, and its maximal intensity is seven times larger than that of the POPS. Spectral analysis and the experimental results from infrared spectroscopy and electron spin resonance suggest that the enhanced and stable PL arises from optical transitions in the nonbridging oxygen hole centers (NBOHCs). Si coating mainly leads to introduction of the NBOHCs defects and thus makes the PL intensity enhanced. The blueshift of ∼60 meV is a result of the local equilibrium of NBOHCs defects under high temperature. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 83
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2187-2190 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The fluorescence characteristics of different Ce3+:Er3+-codoped fluoride host glasses, such as fluorozirconate and fluoroindate glass, are reported. It is shown that Ce3+ codoping into Er3+ doped fluoride glasses resulted in a quenching of Er3+ ions from the 4I11/2 to the 4I13/2 state, and the branching ratio for the Er3+ 4I11/2→4I13/2 transition increased from 0.20 to over 0.80 by codoping 2.0 mol % Ce3+. Further, the fluorescence quantum yield at 1.55 μm was also significantly improved. A Ce3+:Er3+-codoped fluorozirconate fiber laser operating at 1.55 μm band with 980 nm excitation has been realized for the first time, which clearly indicates the effectiveness of Ce3+ codoping. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 84
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2205-2210 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We have measured the second harmonic generation (SHG) using a 1.06 μm Q-switched pump beam in powdered nonlinear crystals suspended in a photopolymeric solution. The powdered crystals used include lithium niobate, LiNbO3, and potassium titanyl phosphate. Measurements were made as a function of the grain size and the number of grains per unit volume. The photopolymeric solution is transparent at the pump beam frequency while it is highly absorbing at the SH and it is the SH which transforms the photopolymer from liquid to solid. The results show that relatively intense SH incoherent light is produced in powder after the pump intensity exceeds a certain threshold. SHG does not depend on the grain size up to a critical grain size beyond which SHG falls off rapidly. Furthermore, the emission increases linearly as a function of the number of grains per unit volume and eventually levels off and stays constant. A practical focus of these studies has been in the areas of laser induced rapid prototyping and three dimensional real time image formation. This study clearly demonstrates the application of a nonlinear optical medium in high resolution rapid prototyping. Furthermore, because efficient SHG occurs for very small powder grain size this technique may provide a way of realizing high resolution three dimensional imaging in which the feature size is only a few microns. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 85
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2305-2308 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Visible Raman spectroscopy excited at 532 nm was used to characterize the carbon bonding in tetrahedral amorphous carbon (ta-C) films. The vibrational modes of the sp3 bonding in ta-C films were revealed directly. An additional Raman band occurring below 1350 cm−1 was observed. It consisted of two features centered on ∼1270 and ∼1170 cm−1, which were associated with sp3 bond stretching. The observed sp3 related Raman spectrum approached the vibrational density of states of amorphous diamond. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 86
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2326-2330 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Optical properties of α- and β-particle irradiated doped superlattices (npnp) were studied by photoluminescence, ellipsometry, and Raman spectroscopy. Irradiation causes a substantial shift of the main emission band. Single-wavelength ellipsometry shows a small drop in extinction coefficient, but no measurable change in real index of refraction or in depletion width between n and p layers. Thermal annealing at 450 K results in the formation of a new emission band at 1.51 eV. High temperature annealing reverses all radiation induced changes. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 87
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2318-2325 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We show, in this article, the polarization effects around nanoscopic objects in the near-field optics. This analysis accounts of the variation of the total electric field intensity scattered by the objects with the incident wavelength. These are illuminated through a transparent glass substrate under the condition of total internal reflection. The use of different materials is discussed in the optical images according to the incident wavelength at constant height. Using numerical simulations based on the Green's dyadic technique, we compute the total transmitted intensity of the scattered light in the attenuated total reflection configuration at constant height by varying the incident wavelength. The role of localized plasmon resonance is brought to the fore in the optical images for nanoscopic and metallic objects. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 88
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2331-2335 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: A methodology is proposed to determine the minimum coating thickness for covering substrate holes through particle deposition. The particle deposition process is carried out with a combined, two-dimensional, on-lattice model, in which both deterministic and nondeterministic driving forces are taken into account. The normalized covering thickness (hc/Dw) is investigated subject to variation in the Peclet number (Pe) and the size of the depositing particle, normalized hole size (Dw/L), and degree of postcontact restructuring allowed. It is found that ballistic particle movement gives a slightly better covering structure for smaller holes while diffusive particle movement much more efficiently covers larger holes. The normalized covering thickness increases with increasing normalized hole size and with decreasing normalized depositing particle size. Postcontact restructuring first improves the covering capability of the depositing particle, but then impairs it if further restructuring is allowed. It is further found that the normalized covering thickness scales with the normalized hole size as hc/Dw∼(Dw/L)E with E=0.67, 0.56, and 0.36 for Pe of infinity, 0.5, and 0.1, respectively. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 89
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2336-2341 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Si surface damage induced during Ar and CHF3/CF4/Ar plasma processing has been characterized by photoreflectance spectroscopy (PRS). The photoreflectance (PR) signal intensity decreases drastically as the rf input power increases in the Si substrate exposed to the plasma. The recovery of the plasma-induced damage is confirmed by PRS after subsequent annealing over 500 °C. We found, from the shift of the PR spectra, the strain at the Si surface induced by the plasma treatment might be due to the introduction of the ions, C, F, or H, not to those of Ar. The depth profile of the defect density in the Si substrate is estimated quantitatively by analyzing the depth profile of the PR signal intensities. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 90
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2342-2348 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Synthesis and characterization of Nd2Fe14−xSixBHy compounds are presented for x=1–2 and y=0–4. The samples have been studied with emphasis given to the structural aspects and the magnetic properties. Our present investigation has been performed both at 2 and 300 K by means of high-resolution powder neutron diffraction. We report the evolution of the maximum hydrogen uptake, which decreases when the Si concentration increases. This behavior is discussed in the light of earlier neutron diffraction results concerning the preferential occupancy of some Fe sites by Si atoms and the H location in the prototype, Nd2Fe14B phase. The decrease of hydrogen uptake occurs mainly on two interstitial sites out of the four different interstitial sites involved in the insertion scheme. The saturation magnetization results are compared with the magnetic moments obtained by neutron diffraction analysis. Finally, the effects of Si substitution and/or H insertion on the spin reorientation that occurs at low temperatures have been investigated by means of ac susceptibility measurements. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 91
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2371-2377 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Plane shock wave experiments were carried out on ammonium perchlorate single crystals compressed along [210] and [001] orientations to peak stresses ranging from 1.2 to 6.2 GPa. Quartz gauge and velocity interferometer techniques were used to measure the elastic and plastic shock wave velocities, and stress and particle velocity histories in the shocked samples. The measured Hugoniot elastic limit (HEL) was 0.48±0.09 GPa. Above the HEL and up to about 6 GPa, the data show a clear two-wave structure, indicating an elastic-plastic response. Time-dependent elastic precursor decay and plastic wave ramping are discernable and orientation dependent in the low stress data. However, the orientation dependence of the peak state response is small. Hence, data for both orientations were summarized into a single isotropic, elastic-plastic-stress relaxation model. Reasonable agreement was obtained between the numerical simulations using this model and the measured wave profiles. At a shock stress of about 6 GPa and for the time duration and crystal orientations examined, we did not observe any features that may be identified as a sustained chemical reaction or a phase transformation. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 92
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2391-2394 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The strain and morphology of Si/Ge films grown by surfactant mediated molecular beam epitaxy on Si(001) with Bi as the surfactant were studied with grazing-incidence x-ray diffraction, x-ray reflectivity, low-energy electron diffraction, and Auger electron spectroscopy. Bi is observed to prevent the intermixing of Ge and Si layers by inhibiting Ge segregation in Si. Without a surfactant the critical thickness of Ge/Si(001) is 3 monolayers (ML). Using Bi, two-dimensional growth of Ge is observed for films up to 10 ML in thickness, with the onset of strain relaxation occurring at 7 ML of Ge growth. At 10 ML, the top Ge atomic layers are only partially relaxed. This is achieved by introducing roughness at the interface of the Ge and Si layers. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 93
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2415-2422 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We report characterization of the average Ti atomic bonding environment in Ti-containing hydrocarbon (Ti–C:H) coatings by x-ray absorption near edge structure (XANES) spectroscopy, extended x-ray absorption fine structure (EXAFS) spectroscopy, and high-resolution transmission electron microscopy (TEM). Ti–C:H coatings have been synthesized in a hybrid chemical vapor deposition/physical vapor deposition deposition system, which combines inductively coupled plasma and sputter deposition. Combining x-ray absorption spectroscopy with high resolution TEM imaging, we have determined that the dissolution limit of Ti atoms in an amorphous hydrocarbon (a-C:H) matrix is between 0.9 and 2.5 atomic percent. At Ti compositions 〉2.5 at. %, XANES and EXAFS data indicate that the average Ti atomic bonding environment in Ti–C:H resembles that in cubic B1–TiC, consistent with direct TEM observation of the precipitation of TiC nanocrystallites in an a-C:H matrix. Beyond the Ti dissolution limit, Ti–C:H coatings are in fact TiC/a-C:H nanocomposites, in which the TiC nanoprecipitates are very much bulk like. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 94
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2451-2455 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We report on the absolute quantum photoyield (QPY) measurements from defective diamond surfaces in the 140–200 nm spectral range. The effect of defects on the photoemission properties of polycrystalline diamond films is studied by intentionally introducing damage using room temperature 30 keV Xe+ ion bombardment at doses ranging from 2×1013 to 2×1015 ions/cm2. Ion bombardment results in a drastic degradation of the QPY, to less than 1% at 140 nm, even at the lowest implantation dose, compared to ∼11.5% measured for the unimplanted diamond film. The decrease in QPY is associated with a change of the electron affinity from negative to positive as determined by secondary electron emission measurements. Microwave hydrogen plasma treatment of the damaged diamond films results in complete regeneration of the photoemission properties for diamond films implanted to Xe+ doses up to 2×1014 ions/cm2; however, only partial recovery is obtained for films irradiated with higher ion dose. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 95
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2578-2582 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Measurements of magnetoresistance and magnetization were carried out on ceramic samples of La0.5Pb0.5MnO3 and La0.5Pb0.5MnO3, containing 10 at. % Ag in a dispersed form. The results obtained for the resistivity at zero applied magnetic field exhibit a shallow minimum at the temperature T∼25–30 K which shifts towards lower temperatures upon applying a magnetic field and disappears at a certain field Hcr. Also the resistivity at helium temperature decreases upon applying magnetic fields. It is shown that the model of charge carriers tunneling between antiferromagnetically coupled grains may account for the results observed. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 96
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2593-2600 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Electrodeposited, dc magnetron sputtered, and electron beam evaporated Pt contacts to n-GaN (n=1.5×1017 cm−3) are reported. All contacts were rectifying in the as-deposited condition, and values of the barrier height were determined by current–voltage (I–V) and capacitance–voltage (C–V) measurements. The influence of deposition conditions on the electrical characteristics of the sputtered and electrodeposited Pt contacts was further studied. Additionally, a dependence of the barrier height with time following deposition is shown. Taking into consideration all parameters of this study, the barrier height could differ by as much as 0.65 eV by I–V measurements and 0.64 eV by C–V measurements, with I–V and C–V barriers as high as 1.43 and 1.57 eV, respectively. Reverse current densities are reported for a −5 V bias with the highest and lowest median values differing by a factor of 104 as a result of the different deposition conditions. The electrical properties are believed to be strongly influenced by the presence of electrically active defects introduced during metal deposition. Deep level transient spectroscopy data support this hypothesis. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 97
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2623-2633 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The behavior of a model for the specific grain boundary resistivity in metallic bamboo conductor lines is developed and compared to other theoretical treatments, and to experiment. The grain boundary is modeled as an array of scatterers on a plane. The scatterers are called "vacancy-ion" complexes, in which the vacancy represents the boundary free volume, and the ion is an atom adjacent to the vacancy. Three cases are investigated, that of noninterfering scatterers, a continuum of interfering scatterers, and discrete interfering scatterers. The approximations used lead to a specific grain boundary resistivity ∼10−16 Ω m2 for aluminum, in agreement with experiment, for the first two cases. In the noninterfering case, the specific resistivity is independent of the grain boundary area. For the continuum interfering case it is found that the grain boundary resistivity is only weakly dependent on the grain boundary area, and that the grain boundary has a high probability of perfect reflection or transmission of incident electrons. The source of resistivity is from reflection of electrons. This behavior is independent of the exact interaction potential between the incident electrons and the defects which comprise the grain boundary free volume. The discrete interfering case produces specific resistivities several of orders of magnitude too large, and a strong dependence on the grain boundary area. A connection is established between the grain boundary resistivity and the electromigration wind force. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 98
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2634-2640 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: We report on the influence of a gate voltage on the Hall constant [electric field effect Hall constant (EFE–HC)] in a quantum-sized Bi film. The dependence of EFE–HC on the applied electric field, film thickness, and temperature was measured. The electric field effect induces a change of several tens of percent in the Hall constant under an applied electric field of 108 V/m. The effect depends on the film thickness in an oscillatory manner similar to that observed in other quantum-size characteristics. We present an interpretation of the known temperature maximum of HC in quantum size Bi films in absence of EFE, by considering the temperature dependence of the electron and hole mobilities. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 99
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2658-2664 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: The electron transport mobility μn in a GaAs/AlxGa1−xAs coupled double quantum well structure has been studied. The central barrier is delta-doped with Si so that we have two sheets of two dimensional electron gas separated by a positively charged donor layer. The subband electron wave functions and the energy levels are numerically obtained as a function of barrier height, barrier width, well width, and doping concentration. The screened ionized impurity potential is obtained in terms of the static dielectric response function within the random phase approximation. The effect of tunneling of electrons through the barrier, screening of ionized impurities, and intersubband scattering on μn of the double channel system is investigated. It would be interesting to compare our results for μn with experiment, when available. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
  • 100
    Digitale Medien
    Digitale Medien
    [S.l.] : American Institute of Physics (AIP)
    Journal of Applied Physics 88 (2000), S. 2641-2647 
    ISSN: 1089-7550
    Quelle: AIP Digital Archive
    Thema: Physik
    Notizen: Oxide traps generated by reactive ion etching are studied using a pulsed femtosecond laser. The second harmonic generation (SHG) signal from the Si/SiO2 interface is sensitive to charged traps in the oxide. The time evolution of the SHG signal indicates that positive traps predominate. The angular dependence of the polarized signal shows that the electric field generated by the oxide traps alters the symmetry of the sample. The damage is greatest for an oxide thickness of 13 nm (for a plasma dc bias of 300 V). Thicker oxides have smaller SHG signals, presumably because the Fowler–Nordheim tunneling currents induced by plasma charging of the oxide surface are smaller. Very thin oxides also exhibit reduced damage. The time dependent SHG signals depend on the temperature of the samples; these data provide information on the trapping and detrapping of substrate electrons by oxide holes. © 2000 American Institute of Physics.
    Materialart: Digitale Medien
    Bibliothek Standort Signatur Band/Heft/Jahr Verfügbarkeit
    BibTip Andere fanden auch interessant ...
Schließen ⊗
Diese Webseite nutzt Cookies und das Analyse-Tool Matomo. Weitere Informationen finden Sie hier...